#noindex ## =디코더,decoder =,decoder . decoder REL [[디코딩,decoding]] 번역들: 디코더 외에 해독기 ---- n비트 입력을 받고 최대 2^^n^^ 개의 출력 단자 중 하나를 선택하는 회로가 '''디코더'''. ex. 2 bit 입력을 받는다면 ||x||y||D,,0,,||D,,1,,||D,,2,,||D,,3,,|| ||0||0||1||0||0||0|| ||0||1||0||1||0||0|| ||1||0||0||0||1||0|| ||1||1||0||0||0||1|| cf. 인코더는 반대로 2^^n^^개의 입력단자 → 코드화(부호화, 인코딩) → n개의 출력단자. ---- Ndict:decoder Ggl:decoder+디코더 Opp [[인코더,encoder]] via kornorms : decod at [[Date(2023-10-24T12:35:01)]] { [[디코딩,decoding]] 디코딩 decoding [[decoding]] [[디코더,decoder]] 디코더 decoder [[decoder]] [[디코드,decode]] 디코드 decode [[decode]] } Sub: [[이진디코더,binary_decoder]] [[주소디코더,address_decoder]] Cmp: [[멀티플렉서,multiplexer]] - mv from there = 같은 영단어...'decoder' = 여기엔 EE/논리회로 쪽 것을, [[복호기,decoder]]는 신호/암호학쪽 것을 적을까? = DELME TMP from https://vol.verilog.com/VOL/c01/v01108r1.htm = The following module implements a 2-bit to 4 decoder. {{{ module decodeX4 (b0, b1, b2, b3, in0, in1); output b0, b1, b2, b3; input in0, in1; // select inputs not N1 (t0, in0); // invert inputs not N2 (t1, in1); and A1 (b0, t1, t0); // decode inputs => outputs and A2 (b1, t1, in0); and A3 (b2, in1, t0); and A4 (b3, in1, in0); endmodule}}} ---- Up: [[조합회로,combinational_circuit]]